matlab小技巧与verilog小技巧
生活随笔
收集整理的這篇文章主要介紹了
matlab小技巧与verilog小技巧
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
文章目錄
- 0、背景
- 1、代碼注釋快捷鍵
- 2、拼接[ ]
- 3、以二進制寫入文件
- 4、verilog的文件寫入操作
- 5、verilog的文件讀取操作
0、背景
本篇文章是作者的一些經驗,方便快速的查詢,如果轉載請務必備注,否則本作者將關閉CSDN的自己的所有文章!
1、代碼注釋快捷鍵
注釋代碼:選中代碼,ctrl+R
取消注釋:選中代碼,ctrl+T
2、拼接[ ]
采用中括號,可以將兩組數據進行拼接,
a=ones(1,9); b=zeros(1,8); c=[a,b]3、以二進制寫入文件
由于采用dec2bin是不能轉換負數的,這一點要注意了,那么就需要表示負數的補碼形式,補碼形式很簡單,就是判斷某個數是否小于0,小于0的數就加上2的N次方,這樣既可轉換為無符號的十進制,再將其十進制用dec2bin轉換為二進制,就是負數的補碼。
以下給出常用的代碼:
4、verilog的文件寫入操作
//將混頻濾波后的的1.25MHz單頻信號dout寫入外部TXT文件中(out.txt)integer file_out; initial begin file_out=$fopen("out.txt");//文件必須放到simulation\modelsim的文件夾中if(!file_out)begin$display("could not open file!");$finish;end endwire clk_write; wire signed[19:0] dout_s; //將混頻后的數據,轉換為有符號數 assign dout_s=dout; assign clk_write=clk&(rst); //產生寫入的時鐘信號,復位狀態時候不寫入數據always @ (posedge clk_write)$fdisplay(file_out,"%d",dout_s); //將混頻后輸出的有符號的數據,寫入file_out代表的out.txt文件中5、verilog的文件讀取操作
//從外部TXT文件中讀入數據作為測試激勵 reg [9:0] stimulus[1:data_num]; //用于存儲從文本中讀取的數據,全部存放于數組stimulus中 integer address=0; initial begin $readmemb("sin.txt",stimulus);//文件必須放到simulation\modelsim的文件夾中repeat(data_num) beginaddress=address+1;din=stimulus[address];#clk_period;end end總結
以上是生活随笔為你收集整理的matlab小技巧与verilog小技巧的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: FPGA_进阶篇开篇
- 下一篇: 1.2 离散时间信号-采样