VHDL编码器和译码器的设计
生活随笔
收集整理的這篇文章主要介紹了
VHDL编码器和译码器的设计
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
主要內容:
本課程設計要求利用硬件描述語言VHDL的設計思想,設計計算機電路中編碼器和譯碼器的各個模塊,系統設計要求采用自頂向下的設計方法,系統采用VHDL語言編程實現,并對各模塊進行仿真驗證和分析。
摘 要 編碼器與譯碼器是計算機電路中基本的器件,本課程設計采用EDA技術設計編碼和譯碼器。編碼器由八-三優先編碼器作為實例代表,而譯碼器則包含三-八譯碼器和二-四譯碼器兩個實例模塊組成。課程設計采用硬件描述語言VHDL把電路按模塊化方式進行設計,然后進行編程、時序仿真和分析等。課程設計結構簡單,使用方便,具有一定的應用價值。
這個設計包括實驗報告和代碼,下面是截圖:
1.八-三優先編碼器的VHDL程序代碼:
–程序名:priority.vhd
library ieee;
use ieee.std_logic_1164.all;
entity priority is
port(i:in bit_vector(7 downto 0);
a:out bit_vector(2 downto 0);
gs:out bit);–編碼輸出標志
end priority;
architecture a of priority is
begin
process(i)
begin
g
總結
以上是生活随笔為你收集整理的VHDL编码器和译码器的设计的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: JPA一对多循环引用的解决
- 下一篇: Oracle PL/SQL之LOOP循环