DFT实训教程笔记1(bibili版本)- introduction to DFT DFT Architecture
文章目錄
- introduction to DFT
- DFT Architecture
本筆記來源于小破站的視頻教程,本博文系列是本博主的筆記系列,只以博主目前的知識水平對視頻教程的知識進行的提取和知識理解記錄,并不是視頻所有的內容。
作者:ciscomonkey
introduction to DFT
Design for test的目的:
實現特定的輔助性設計,額外增加一定的硬件開銷-----Design
利用實現的輔助性設計,產生搞笑經濟的結構性測試向量在ATE上進行芯片測試—For test
什么是DFT技術?
DFT可以認為是檢查物理設計輸出生產制造出來的芯片的功能一致性。
DFT技術是產生輔助性設計,并利用這些輔助性設計對根據physical defects建立的fault model求解,產生處結構性的測試向量。這些向量應用于生產制造出來的芯片以檢測其功能正確性。
Function test&Structure Test
功能測試是指從設計的功能出發,但并不關心具體以設計內容的測試方法
1、Low converage
2、較長的功能開發時間和應用時間
隨著功能越來越多的集中在一個芯片上,沒辦法把所有的功能進行測試,并且沒法給出對功能的converage
結構性測試則是依賴于設計實現結構的測試方法,更具備通用性。不同芯片可以用相同的DFT方法進行測試。
三家主要的EDA公司:
synopsys Mentor Cadence
synopsys的工具有:
DC
Prime time
ICC: PR tool
Tetramax: ATPG tool
VCS/Verdi
Design Aware IP
Mentor:
Tessent(不能進行邏輯綜合)
DFTadvisor(scan insertion)
Fastscan(ATPG tool without test compression)
Testkompress (ATPG tool with test compression)
Tessent MBIST(MBIST+JTAG solution)
calibre(DRC/LVS sign-off tool)
olympus(PR tool)
cadence:
RTL Compiler:logic synthesis \scan insetion
SOC Encounter:PR工具
Virtuso:模擬版圖工具
NC verilog/ncsim:simulation tool
modus:掃描壓縮+ATPG
DFT Architecture
sequential circuit VS combinational circuit
對組合電路而言,檢測fault非常容易,但是對于時序電路來說難以將數據傳輸到問題點,并且傳出去也非常困難。
Scan synthesis
1、Scan synthesis 主要包括兩個步驟:scan replacement & scan stitching
2、Scan synthesis 可以包含于logic synthesis,也可以獨立于logic synthesis之外
3、Scan synthesis的主要作用是:把一個difficult to test sequential circuit 轉變成一個easy to test combinational circuit
如下圖是標準的1條scan chain,scan 的原理這里不介紹了。
測試步驟分為了兩大部分:
1、sequential part:
enable scan mode
shift register test -flush test (00110011…)
shift through scan-in and measure on scan -out
首先用確定的一組pattern,此時沒有capture,能否正確地將這組pattern shift輸出
2、combinational part
select scan mode
scan in test pattern
force primary input values and set normal mode
measure primary outputs
set the scan mode
scan out,combied with scan in for the next pattern
How many IOs are available for scan testing?
1 ATE channel
2 芯片IO數量/封裝方式
3 scan channels /scan enable/test mode/scan clocks
總結
以上是生活随笔為你收集整理的DFT实训教程笔记1(bibili版本)- introduction to DFT DFT Architecture的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: DC课程笔记-数字逻辑综合工具-DC S
- 下一篇: DFT实训教程笔记2(bibili版本)