ncverilog脚本_NC-Verilog仿真详解
ncverilog仿真詳解
發(fā)表在ASIC/FPGA/匯編, 學(xué)習(xí)筆記, 編程開發(fā) | 由阿布 | 十一月26, 2011 | 0標(biāo)簽: ncverilog, 仿真
數(shù)位IC工具簡介——Simulator 工具
ModelSim
ModelSim是Mentor公司所推出的軟體, 主要用來當(dāng)作VHDL的模擬器, 也是目前CIC在VHDL方面的主要的模擬軟體;但ModelSim不僅支援VHDL的模擬,同樣也可用來當(dāng)Verilog的模擬器, 更進(jìn)一步的, ModelSim也支援
VHD&Verilog的混合模擬, 這對於單晶片系統(tǒng)(SoC)的發(fā)展上, 矽智產(chǎn)(IP)是來源來自不同的地方, 有些矽智產(chǎn)是采用VHDL描述, 有些是Verilog描述, 因此這是不可或缺的功能. 所以CIC引進(jìn)ModelSim這一套軟體.
NCSim
NC-SIM 為Cadence 公司之VHDL與Verilog混合模擬的模擬器(simulator),可以幫助IC 設(shè)計者驗證及模擬其所用VHDL與Verilog混合計設(shè)的IC功能.
NCVerilog
NC-Verilog 為Cadence 公司之Verilog 硬體描述語言模擬器(simulator),可以幫助IC 設(shè)計者驗證及模擬所設(shè)計IC 的功能.使用NC-Verilog軟體,使用者必須使用Verilog 硬體描述語言的語法來描述所要設(shè)計的電路.
VCS
VCS 為Synopsys 公司之Verilog 硬體描述語言模擬器(simulator),可以幫助IC設(shè)計者驗證及模擬所設(shè)計IC 的功能.使用VCS 軟體,使用者必須使用Verilog 硬體描述語言的語法來描述所要設(shè)計的電路.
ncverilog使用
ncverilog是shell版的,nclaunch是以圖形界面為基礎(chǔ)的,二者調(diào)用相同內(nèi)核;
ncverilog的執(zhí)行有三步模式和單步模式,在nclaunch中對應(yīng)multiple step和single step
ncverilog的三步模式為:ncvlog(編譯) ncelab(建立snapshot文件) ncsim(對snapshot文件進(jìn)行仿真)
基于shell的ncverilog操作(尤其是單步模式)更適合于大批量操作
ncverilog的波形查看配套軟件是simvision,其中包含原理圖、波形、信號流等查看方式
三命令模式:
ncvlog -f run.f
ncelab tb -access wrc
ncsim tb -gui
第一個命令中,run.f是整個的RTL代碼的列表,值得注意的是,我們需要把tb文件放在首位,這樣可以避免出現(xiàn)提示timescale的錯誤
注意:ncvlog執(zhí)行以后將產(chǎn)生一個名為INCA_libs的目錄和一個名為worklib的目錄
第二個命令中,access選項是確定讀取文件的權(quán)限。其中的tb是你的tb文件內(nèi)的模塊名字。
注意:ncelab要選擇tb文件的module,會在snapshot文件夾下生成snapshot的module文件
第三個命令中,gui選項是加上圖形界面
創(chuàng)作挑戰(zhàn)賽新人創(chuàng)作獎勵來咯,堅持創(chuàng)作打卡瓜分現(xiàn)金大獎總結(jié)
以上是生活随笔為你收集整理的ncverilog脚本_NC-Verilog仿真详解的全部內(nèi)容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 前端vue后端java,Vue调用后端j
- 下一篇: fscanf不读取_思考了一天,终于把m