Verilog -- 改进的Booth乘法(基4)
Verilog – 改進的Booth乘法(基4)
@(verilog)
文章目錄
- Verilog -- 改進的Booth乘法(基4)
- 1. 背景
- 2. 原理
- 3. 算法實現
- 4. Verilog 代碼
1. 背景
之前已經介紹過Booth乘法算法的基本原理以及代碼,實際上之前的算法是基2的booth算法,每次對乘數編碼都只考慮兩位。因此在實際實現時往往效率不高,考慮最壞情況,使用基2的booth算法計算兩個8位數據的乘法,除了編碼復雜,計算時需要累加8個部分積,可見最壞情況跟普通陣列乘法器需要累加的部分積個數一樣,因此代價不低。
改進的Booth乘法為了減少部分積的累加,現在基本很少采用基2的booth算法了,而是采用基4甚至基8的形式,下面主要介紹一下基4的booth算法。
2. 原理
跟基2的算法一樣,假設A和B是乘數和被乘數,且有:
KaTeX parse error: No such environment: align at position 8: \begin{?a?l?i?g?n?}? A &= \color{gr…
其中,a?1a_{-1}a?1?是末尾補的0,a2n,a2n+1a_{2n},a_{2n+1}a2n?,a2n+1?是擴展的兩位符號位。可以將乘數A表示為:
A=(?1?a2n?1)22n?1+a2n?2?22n?2+?+a1?2+a0A = (-1\cdot a_{2n-1})2^{2n-1}+ a_{2n-2}\cdot2^{2n-2}+\cdots + a_1\cdot 2+a_0 A=(?1?a2n?1?)22n?1+a2n?2??22n?2+?+a1??2+a0?
同樣可以將兩數的積表示為:
紅色部分即為基4booth的編碼方式。
3. 算法實現
有了公式就可以比較方便地推導算法步驟了,首先給出基4booth的編碼表:
| 000 | 0 |
| 001 | +B |
| 010 | +B |
| 011 | +2B |
| 100 | -2B |
| 101 | -B |
| 110 | -B |
| 111 | 0 |
所有操作過后都會移位兩次。
示例:
A=?7,B=?3A = -7,B = -3A=?7,B=?3
首先,計算編碼需要的操作數:
+B=11111101+B = 1111 1101+B=11111101
?B=00000011-B = 0000 0011?B=00000011
+2B=11111010+2B = 1111 1010+2B=11111010
?2B=00000110-2B = 0000 0110?2B=00000110
下面對AAA進行編碼:
A=>(11)1001(0)=>(111)(100)(010)=>(0)(?2X)(+X)A => (11) 1001 (0)=> (111) (100) (010)=> (0) (-2X) (+X)A=>(11)1001(0)=>(111)(100)(010)=>(0)(?2X)(+X)
計算過程:
+ 1111 1101 +B + 0001 10 -2B << << ----------- = 0001 0101 = 21可以發現,對于8bit的乘法,基4的booth算法最多只需要計算4個部分積的累加,極大簡化了求和邏輯。
4. Verilog 代碼
verilog代碼參考的是fanhu大神寫的,鏈接: https://pan.baidu.com/s/1bR0SK0NeeaenLC73E1kKNg 提取碼: 4kat
下面的代碼針對上面的做了部分修改。
testbench:
`timescale 1ns/1psmodule booth_radix4_tb(); `define TEST_WIDTH 4parameter WIDTH_M = `TEST_WIDTH; parameter WIDTH_R = `TEST_WIDTH;reg clk; reg rstn; reg vld_in; reg [WIDTH_M-1:0] multiplicand; reg [WIDTH_R-1:0] multiplier;wire [WIDTH_M+WIDTH_R-1:0] mul_out; wire done; //輸入 :要定義有符號和符號,輸出:無要求 wire signed [`TEST_WIDTH-1:0] m1_in; wire signed [`TEST_WIDTH-1:0] m2_in;reg signed [2*`TEST_WIDTH-1:0] product_ref; reg [2*`TEST_WIDTH-1:0] product_ref_u;assign m1_in = multiplier[`TEST_WIDTH-1:0]; assign m2_in = multiplicand[`TEST_WIDTH-1:0];always #1 clk = ~clk; integer i,j; integer num_good; initial beginclk = 0;vld_in = 0;multiplicand = 0;multiplier = 0;num_good = 0;rstn = 1;#4 rstn = 0; #2 rstn = 1;repeat(2) @(posedge clk);for (i = 0; i < (1<<`TEST_WIDTH); i = i + 1) beginfor (j = 0; j < (1<<`TEST_WIDTH); j = j + 1) beginvld_in = 1;wait (done == 0);wait (done == 1);product_ref=m1_in*m2_in;product_ref_u=m1_in*m2_in;if (product_ref != mul_out) begin$display("multiplier = %d multiplicand = %d proudct =%d",m1_in,m2_in,mul_out);@(posedge clk);$stop;endelse beginnum_good = num_good + 1;endmultiplicand = multiplicand + 1;endmultiplier = multiplier + 1;end$display("sim done. num good = %d",num_good);$finish;endbooth_radix4 #( .WIDTH_M ( WIDTH_M ),.WIDTH_R ( WIDTH_R )) U_BOOTH_RADIX4_0 ( .clk ( clk ),.rstn ( rstn ),.vld_in ( vld_in ),.multiplicand ( multiplicand ),.multiplier ( multiplier ),.mul_out ( mul_out ),.done ( done ));initial begin$fsdbDumpvars();$fsdbDumpMDA();$dumpvars(); endendmodule仿真波形圖:
首先num_good表示正確的計算數目,因為上面我只測試了4位寬度的所有有符號乘法,因此總的計算個數為16*16=256個,這邊顯示全部正確。
下面是波形圖:
PS:跟之前寫的基2的算法相比,這里如果位寬改為10,經過仿真得到的計算周期為12,周期幾乎比基2減少了一半。(之前寫的基2在計算10bit時需要21個周期)
總結
以上是生活随笔為你收集整理的Verilog -- 改进的Booth乘法(基4)的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: pta 构造哈夫曼树-有序输入 优先队列
- 下一篇: 【luogu1468】[Violet]蒲