GENERATE语句
生活随笔
收集整理的這篇文章主要介紹了
GENERATE语句
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
GENERATE語句
? GENERATE語句是另一種基本的并發描述語句。它和順序描述語句中的LOOP語句一樣用于循環執行某項操作,通常與FOR一起使用。具體的語法結構如下:
label:FOR identifier IN range GENERATE(concurrent assigments) END GENERATE;for - generate可以用來產生多個相同的結構和描述規則結構,如陣列、元件例化和進程。
元件例化
label_one : for i in 0 to 1 generatebram_gen : bram_eggeneric map(DATA => tmp,ADDR => 11)port map(-- Port AA_clk => clk_sys,A_wr => wr_write(i),A_addr => addra,A_din => reg.dina,A_dout => open,-- Port BB_clk => clk_sys,B_wr => '0',B_addr => addrb,B_din => (others => '0'),B_dout => doutb(i));end generate label_one;addra(10 downto 5) <= std_logic_vector(to_unsigned(reg.counter_one, 6));addra(4 downto 0) <= std_logic_vector(to_unsigned(reg.counter_two, 5));wr_write(0) <= reg.identifier and reg.write;wr_write(1) <= (not reg.identifier) and reg.write;GENERATE中循環操作的上界和下界都必須是靜態的。如果上界和下界中的參數是非靜態的,那么代碼通常是不可綜合的。
總結
以上是生活随笔為你收集整理的GENERATE语句的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: C++的generate函数
- 下一篇: 从哈佛到伯克利,从微软到AI创业。公司腾