关于验证Verification IP,举个例子看看
生活随笔
收集整理的這篇文章主要介紹了
关于验证Verification IP,举个例子看看
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
專業解釋:
VIP(Verfication IP)代碼確認與驗證技術,是預先驗證過的內建驗證結構,提供了完整的、靈活的應用機制,可以方便地插入到基于仿真的確認測試中,可以大大提高驗證可重用性和驗證效率。VIP是一種驗證模型,并提供一套全面測試環境,幫助設計者和驗證者確認其設計功能的正確性,可用于各個層次的仿真驗證。通常,VIP是基于標準協議的,如:AMBA、PCIE、USB、Ethernet等。VIP中包括很多驗證部件IP,這些IP都嚴格遵循這些標準協議、已經被驗證過,通常包括:產生testbench所必須的基礎部件、檢查機制以及產生單獨協議的程序,這些程序通常是一個BFM(Bus Functional Models)。
通俗解釋:
vip可以實現數據 → 通信協議的轉換,把想發的數據輸入給vip(如讀文件的方式),vip會輸出符合相應通信協議的數據,可直接用來作為相應模塊的輸入信號。
vip也可以用來驗證輸出數據是否符合相應通信協議的時序,且輸出的數據是否正確(與文件數據對比)。
本文設計的模塊即實現了數據 → AXI Stream 輸出 的轉換。
————————————————————————————————————
C++程序:
C++程序用于產生存儲數據的.bin文件,文件中第一個32bit數據為文件中全部有效數據的總字節數,其后的數據為按順序排列的有效數據。
#include <iostream>using namespace std;#define N 32int main() {/* write file */FILE* fp;unsigned int byte_cnt=0;unsigned int data[N];//sizeof(unsigned int) == 4 bytesfp = fopen("test_axis.bin", "wb");fseek(fp, sizeof(byte_cnt), SEEK_CUR);//指針從當前位置向后移動 sizeof(byte_cnt) 個字節 ,留作之后再寫入for(int i=0;i<N;i++){data[i] = i;}fwrite(data, sizeof(unsigned int), N, fp);byte_cnt += N * sizeof(unsigned int);cout<<byte_cnt<<" bytes have been written in file."<<endl;fwrite(data, sizeof(unsigned int), N, fp);byte_cnt += N * sizeof(unsigned int);cout<<byte_cnt<<" bytes have been written in file."<<endl;//向前移動會把前面的數據覆蓋fseek(fp, -(byte_cnt + sizeof(byte_cnt)), SEEK_CUR);//指針從當前位置向前移動 (byte_cnt + sizeof(byte_cnt)) 個字節fwrite(&byte_cnt, sizeof(byte_cnt), 1, fp);//在前面寫入總共的字節數,此時,指針從當前位置向后移動 sizeof(byte_cnt) 個字節fseek(fp, (byte_cnt + sizeof(int)), SEEK_CUR);//指針還原byte_cnt = 0;fclose(fp);/* read file */FILE* fp_r;unsigned int rd_data[N*2+1];//sizeof(unsigned int) == 4 bytesfp_r = fopen("test_axis.bin", "rb");fread(rd_data, sizeof(unsigned int) , N*2+1, fp_r);fclose(fp_r);printf("\n");for(int i=0;i<N*2+1;i++){printf("rd_data[%d] = %d\n", i, rd_data[i]);}printf("\n");return 0; }?vip程序:
`timescale 1ns / 1ns // // Company: // Engineer: // // Create Date: 11/09/2020 // Author Name: Sniper // Module Name: axis_driver // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //module axis_driver #(parameter WIDTH = 32 ) (input clk,input rst_n,input start,output reg m_axis_tvalid,input m_axis_tready,output reg [WIDTH-1:0] m_axis_tdata,output reg m_axis_tlast,input [16*8-1:0] id,input [128*8-1:0] filename );integer file;reg [7:0] state; reg [31:0] transfer_byte_cnt; reg [31:0] sum_byte_num; reg [WIDTH-1:0] file_data;always@(posedge clk or negedge rst_n) beginif(!rst_n)beginstate <= 0;transfer_byte_cnt <= 0;m_axis_tvalid <= 0;m_axis_tlast <= 0;m_axis_tdata <= 0;endelsebegincase(state)0://initbeginfile = $fopen(filename,"rb");state <= state + 1;if(!file)$error("Failed to open %s",filename);end1://wait for start pulsebeginif(start)//start==1 only 1 clk periodbegin$fread(sum_byte_num,file);//first 32bit data is sum_byte_numsum_byte_num = {<<8{sum_byte_num}};//convert the sequence of byte$fread(file_data,file);file_data = {<<8{file_data}};m_axis_tdata <= file_data;transfer_byte_cnt <= transfer_byte_cnt + (WIDTH/8);m_axis_tvalid <= 1;state <= state + 1;endend2://transferbeginif(m_axis_tvalid & m_axis_tready)//handshakebegin$fread(file_data,file);file_data = {<<8{file_data}};m_axis_tdata <= file_data;transfer_byte_cnt <= transfer_byte_cnt + (WIDTH/8);m_axis_tvalid <= 1;if(transfer_byte_cnt == sum_byte_num - (WIDTH/8))beginm_axis_tlast <= 1;state <= state + 1;endendend3://resetbeginm_axis_tvalid <= 0;m_axis_tlast <= 0;m_axis_tdata <= 0;$fclose(file);sum_byte_num = 0;transfer_byte_cnt <= 0;state <= 0;endendcaseend endendmodulevip_tb:
`timescale 1ns / 1ns // // Company: // Engineer: // // Create Date: 11/09/2020 // Author Name: Sniper // Module Name: tb_axis_driver // Project Name: // Target Devices: // Tool Versions: // Description: // // Dependencies: // // Revision: // Revision 0.01 - File Created // Additional Comments: // //module tb_axis_driver;//parameter parameter WIDTH = 32;//input reg clk; reg rst_n; reg start; reg m_axis_tready; reg [16*8-1:0] id; reg [128*8-1:0] filename;//output wire m_axis_tvalid; wire [WIDTH-1:0] m_axis_tdata; wire m_axis_tlast;initial beginclk = 0;rst_n = 0;start = 0;m_axis_tready = 1;id[16*8-1:0] = "AXIS_DRIVER_0";filename[128*8-1:0] = "test_axis.bin";#100;rst_n = 1;#100;@(posedge clk)start <= 1;@(posedge clk)start <= 0;#1000;@(posedge clk)start <= 1;@(posedge clk)start <= 0;#1000;@(posedge clk)start <= 1;@(posedge clk)start <= 0;end//clock always #5 clk = ~clk;//DUT axis_driver #(.WIDTH(WIDTH) ) DUT (.clk(clk),.rst_n(rst_n),.start(start),.m_axis_tvalid(m_axis_tvalid),.m_axis_tready(m_axis_tready),.m_axis_tdata(m_axis_tdata),.m_axis_tlast(m_axis_tlast),.id(id),.filename(filename) );initial begin$dumpfile("curve.vcd");$dumpvars(0,DUT); endinitial #10000 $finish;endmodule仿真結果:
總結
以上是生活随笔為你收集整理的关于验证Verification IP,举个例子看看的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: linux查询网卡是百兆还是千兆,查看网
- 下一篇: xz命令使用