VHDL移位寄存器的设计与实现
生活随笔
收集整理的這篇文章主要介紹了
VHDL移位寄存器的设计与实现
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
主要內容:
本設計根據計算機組成原理中移位寄存器的相關知識,利用VHDL語言設計了三種不同的寄存器:雙向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。
摘要:系統使用EDA技術設計了具有移位功能的寄存器,采用硬件描述語言VHDL進行設計,然后進行編程,時序仿真等。軟件基于VHDL語言實現了本設計的控制功能。本設計根據移位寄存器的功能設計了三種不同的寄存器:雙向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。整個設計過程簡單,使用方便。功能齊全,精度高,具有一定的開發價值。
本設計包括實驗報告和代碼:
下面是截圖:
雙向移位寄存器由VHDL程序實現,下面是其中的一段VHDL代碼:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity tdirreg is
port (clk: in std_logic;
din: in std_logic;
dir : in std_logic;
op_l: out std_logic;
op_r: out s
總結
以上是生活随笔為你收集整理的VHDL移位寄存器的设计与实现的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 《深入剖析Tomcat》阅读(三)
- 下一篇: Codeforces Round #30