VHDL设计出租车计价器
下面是本設(shè)計(jì)功能,功能可以增添修改。代碼有詳細(xì)注釋。
本工程創(chuàng)建于vivado下
下面是工程截圖:
適用于quartusII、vivado、ISE等環(huán)境。
---文件名: texi_all.vhd
---功 能: 出租車(chē)計(jì)價(jià)器
---說(shuō) 明: 三公里以?xún)?nèi)10元,以后每增加一公里加1.6元,2分鐘以后每停一分鐘加1.5元。
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity texi_all is
Port (clk : in std_logic;
start : in std_logic; --使能信號(hào);
dina : in std_logic; --停車(chē)信號(hào);
dinb : in std_logic; --公里脈沖信號(hào);
cs : out std_logic_vector(1 downto 0);
shift : out s
總結(jié)
以上是生活随笔為你收集整理的VHDL设计出租车计价器的全部?jī)?nèi)容,希望文章能夠幫你解決所遇到的問(wèn)題。
- 上一篇: JAVA如何才能导出这样的EXCEL?
- 下一篇: linux输出文字的颜色特效