艾为数字ic面试题_每日学习:数字后端面试100问(2019全新版)
關注并標星大同學吧
每天1次,打卡學習
積累1個新知識,增1分職場底氣
作者稱謂:Tao濤
個人介紹:摸爬滾打多年的數字后端工程師
微信公眾號:數字后端IC芯片設計
半導體知識分享第29期
技能升級,從這里開始
最近項目剛做完,利用難得的空閑時間寫了一篇數字后端的面試題,希望對各位求職者有用。題目類型更偏向社招。對于社招,考官通常會更加注重工程師現場解決問題的能力,而并非基礎概念的理解。
一共整理了100個題目。內容涵蓋時序,功耗,PD,PV,工藝等方面,難度由簡入繁,分為5個等級,難度指數說明如下:
1:常識,這個都回答不了的話回家先閉門思過啦。0~1年工作經驗。
2:簡單,面試前稍微準備一下應該都能回答。1~3年工作經驗。
3:一般,稍微有一點難度,屬于可能答不全的問題。3~5年經驗。
4:較難,有難度的題目,通常要求有一定的綜合性思考能力。5~7年經驗
5:很難,非常有難度的題目,能回答出來基本都是后端專家了。7年經驗以上。
小編水平有限,有的題目難免會有錯,大家可以把這100個題目用做面試前的練習題。如果這100個問題都能解答的話,相信面試官會非常頭疼了。覺得有用的朋友可以多多轉發哦!
PS:題目順序隨機排列,更易記牢
1. 請說說最近項目中遇到的一些問題?最后是如何解決的?(綜合性,難度2)
2. 這塊芯片類型是什么?用了哪種工藝?規模有多大?(綜合性,難度1)
3. 你負責芯片里哪幾個模塊,有多少instances和hard macro?? (綜合性,難度1)
4. 設計里面有哪些特殊IP,需要哪些特殊處理?遇到過哪些問題?(綜合性,難度3)
5. Memory該如何擺放?說一說你知道的一些規則(Floorplan,難度3)
6. 擺放ICG cell時有什么注意事項?為什么ICG容易發生setup violation? (Place,難度3)
7. 在Place之后出現setup violation,應該從哪幾方面考慮解決?(Place,難度2)
8. 你負責的模塊里面有多少clock,頻率可以跑到多少?(CTS,難度1)
9. 你的clock tree 的結構是怎樣的?CTS是采用何種策略?func與test clock如何處理?(CTS,難度3)
10. 你在長tree時遇到最棘手的問題是什么?最后怎么解決的。(CTS,難度3)
11. 設計中碰到了哪些congestion的問題?通過什么方法解決的?(Route,難度3)
12. 講一下修復setup和hold的方法,buf應該插在path的什么位置?(ECO,難度2)
13. 如何修復noise violation?(ECO,難度2)
14. Signoff使用了多少個timing corner? 列舉一些(STA,難度2)
15. 列舉幾種setup和hold會出現互卡的情況?以及解決方法(ECO,難度3)
16. 你的項目里面有沒有加timing derate?加了多少?為什么要加?(STA,難度3)
17. 這塊芯片最后的功耗是多少?對降低芯片功耗采用了什么方法?(Power,難度3)
18. 如何修復IR-Drop, 你們公司signoff的靜態動態IR drop是多少?(Power,難度2)
19. EM violation的形成原因,如何修復EM violation?? (Power,難度3)
20. 介紹一下PV在項目中的流程, 每個階段應該做什么事情?(PV,難度3)
21. CTS的時候采用了哪些約束?比如CTS使用的cell, skew設置, CTS的corner, max_transition設置, routing?layer設置, 是否做了preplace。(CTS,難度2)
22. 怎么添加shileding,哪些clock需要做shielding?shielding的大致比例大致是多少?(CTS,難度3)
23. 一個scan chain有兩個時鐘域的DFF,一個時鐘域的DFF有1000個,另一個時鐘域的DFF只有兩個。這個chain里有hold violation, 應該如何解決?(CTS,難度3)
24. 生長clock tree時,為什么優先采用inverter?? (CTS,難度2)
25. High density區域的hold violation如何解決?(Place,難度3)
26. 為什么設計中一般不用最大和最小尺寸的cell? (Place,難度3)
27. 有什么方法可以壓縮芯片面積?(Floorplan,難度4)
28. 當chip中有PLL/DDR等analog IP的時候,位置要怎么確定?有哪些需要注意的地方?(Floorplan,難度4)
29. 后端拿到前端網表時,通常要做哪些基本檢查?給客戶哪些反饋?(綜合性,難度4)
30. 做過ARM的cpu嗎?說說你遇到的ARM的cpu上的物理設計難點?(綜合性,難度4)
31. 說一說routing使用double cut via的好處和缺點?(Route,難度3)
32. 你用過哪些timing ECO的工具?說說用到的一些特殊的option?(ECO,難度4)
33. 說一說你負責的block ,clock tree做到多長?列舉一些可以減小clock latency的方法?(CTS,難度3)
34. POCV和AOCV的一些具體區別?(STA,難度2)
35. STA具體要負責哪些方面?(STA,難度4)
36. 功耗分為哪幾類,分別和什么因素有關?(Power,難度4)
37. 談一談做過的先進工藝,與傳統工藝有什么特殊的地方?從STA,PR,PV方面。(工藝,難度5)
38. 碰到formal fail的問題,后端應該如何debug? (formal,難度4)
39. 有沒有使用腳本修復setup和hold的經驗,介紹一下實現的方法?(Tcl,難度4)
40. 說一說power analysis的具體流程?(Power,難度3)
41. 請問下level shifter在H2L和L2H的情況下,需要插入在input端還是output端,有什么要求?(Power,難度4)
42. 解釋一下PBA下path mode和exhaustive mode兩種模式計算timing的區別?(STA,難度3)
43. 請說一下寫sdc時有哪些方面需要注意的?(STA,難度4)
44. min pulse width violation的產生原因?如何修復它呢? (ECO,難度3)
45. 如果我把一塊metal的寬度變成原來的兩倍,電阻是不是會變成原來的一半?(Route,難度3)
46. 如果我需要做短clock tree,為什么不能全部clock tree用最大的cell去推?(CTS,難度2)
47. 解釋一下IO buffer的作用(Place,難度2)
48. OSC為什么要靠近PLL擺放?(Floorplan,難度3)
49. 有沒有做過flipchip的設計?說說擺放bump時應該考慮哪些因素?(Floorplan,難度4)
50. 簡單介紹一下你自己吧?(我是來湊數的,難度1)
51. 說說為什么想要跳槽?(我是來湊數的,難度1)
52. 下面我們用英語聊會天吧。(我是來湊數的,難度2)
53. Memory之間的間距該如何確定?需要考慮哪些因素?(Floorplan,難度3)
54. 你的設計里有用到multibit ?FF么,有什么需要注意的要點?(Place,難度4)
55. 6層金屬的工藝,你的block應該選擇怎么樣的形狀?豎狀or橫條狀?(Floorplan,難度3)
56. 如何提高芯片的頻率,談談你有什么想法?(綜合性,難度4)
57. 說一說你在以往項目中是怎么解決critical path的SI問題的?(Route,難度3)
58. Antenna violation是如何計算的,列舉幾種修復Antenna violation的方法? 往下跳線能不能解決?(ECO,難度4)
59. 使用useful skew 手動修復timing,需要考慮哪些條件?(ECO,難度3)
60. PR各個階段采用了哪些timing corner?(STA,難度2)
61. sdc里面set_clock_group中, physical_exclusive, logically_exclusive,? asynchronous三個option有什么區別,在計算noise時工具又會如何考慮他們?(STA,難度3)
62. 一條100um的導線延遲1ns,1000um的導線延遲是多少;如果每隔100um插一個buffer(延遲2ns),總延遲又是多少?(STA,難度3)
63. 分享一下你的power mesh設計經驗?(Power,難度4)
64. 有沒有做過低功耗設計?談談Low power有哪些方法。(Power,難度4)
65. Calibre中,VIRTUAL CONNECT 這個option在什么情況使用, signoff可以用嗎? (PV,難度3)
66. 知道FDSOI工藝么,與其他工藝有什么區別,在layout上有啥不一樣,能畫個buffer的layout?(工藝,難度4)
67. Power switch的enable pin怎么接 ? (Power,難度3)
68. 請用sdc語句描述下面這段path,數值可以自己任意指定(STA,難度5)
69. set_disable_timing和set_false_path用法上有什么區別?(STA,難度2)
70. 如果through pin A的margin是100p,through pin B的margin是200p,那么through pin A和pinB的margin是多少?(ECO,難度2)
71. max transition, max cap, max fanout之間有什么相互關系?應該優先修復哪種violation? (ECO,難度3)
72. 如何完成RDL routing? 說說你的經驗(Route,難度4)
73. 請解釋下ignore pin, stop pin, exclude pin,并說說什么情況下會用到它們?(CTS,難度3)
74. ICG cell的構造是怎么樣的?為什么會發生ICG timing檢查?有violation怎么解決?(CTS,難度3)
75. CTS工具是通過top down還是bottom up的方式來生成clock tree? (EDA,難度5)
76. 說一下你項目工藝中用到的一些特殊的Physical cell. (Place,難度4)
77. 做過異形的floorplan嗎?遇到過哪些問題?(Floorplan,難度4)
78. top的IO擺放要考慮哪些因素?(Floorplan,難度5)
79. 做頂層時,需要block pd提供你哪些數據?(綜合性,難度4)
80. 為什么要加decap cell,是不是加的越多越好?(Place,難度4)
81. ICG clone發生在CTS的什么階段?(CTS,難度3)
82. 如果發現我擺的一個Floorplan有很多Routing的DRC,你會如何解決?假如這個Design的utilization大概是60%左右。(Route,難度3)
83. 如何去debug一些unconstraint, no clock的path?? (STA,難度3)
84. 說一下crpr(cppr)對計算SI和OCV分別有什么影響?(STA,難度4)
85. ISO在各個power domain中如何添加,遵循什么原則?(Power,難度4)
86. LVS的時候發現source的port數量比layout的port數量少,該如何debug?(PV,難度3)
87. 知道body bias么?物理上如何實現連接? (工藝,難度3)
88. 請說一下PD每個階段,uncertainty以及drv的一些設置考慮?(STA,難度3)
89. 修復hold時應該選用delay cell還是buffer?兩者各有什么優缺點(ECO,難度2)
90. 為什么channel拐角的地方容易發生metal short? (Route,難度3)
91. 頂層的clock tree是怎么做的?和block 有什么區別?(CTS,難度5)
92. 你的設計里有用feedthrough么?說說是如何做的?(Floorplan,難度4)
93. 怎么提高design 的runtime ? 有什么見解?(綜合性,難度4)
94. Setup和hold的計算是如何考慮SI的?(STA,難度2)
95. 跑full chip timing時,如何確保結果沒問題呢,需要做哪些檢查?(STA,難度4)
96. 知道Finfet工藝么?具體有什么特點,PR工具里有什么需要注意的?(工藝,難度4)
97. Analog ip的power能否給內部standard cell供電?為什么?(Power,難度3)
98. 如何初期評估一塊芯片的面積,需要知道哪些條件?(Floorplan,難度4)
99. 某個模塊的LVS報錯,顯示Incorrect net? AVSS:VSS,VSS:AVSS,它們的物理連接和邏輯連接都沒有錯,分析一下可能的原因是什么? (PV,難度4)
100. 兩條path有相同的hold violation,common path一條長,一條短,先修哪條?為什么?(ECO,難度5)
往期回顧
1.每日學習:數字IC設計前后端學習資料推薦與下載
2.每日學習:Linux文件與目錄管理常用命令解析
3.每日學習:在布局布線后對版圖進行DRC有何意義呢?
4.每日學習:Linux改變文件屬性與權限常用的三個命令
5.每日學習:機器學習在IC設計中的應用(一)--利用率可達99%的神技--Placement及Relative Placement
6.每日學習:機器學習在IC設計中的應用(二)--根據GBA時序結果來預測PBA
7.每日學習:機器學習在IC設計中的應用(四)-- 預測DRC
8.每日學習:數字IC設計EDA軟件教程整理
9.每日學習:Linux的起源、發展和一些學習體會
10.每日學習:記憶深處有塵埃——Memory Compiler
大同學吧,是全國100+重點高校IT電子類等理工科大學生都在關注的校招、內推、實習的求職服務平臺,提供海量互聯網及半導體行業實習、校招等招聘信息,免費分享面經筆經、求職內推、行業干貨,助力學子順利拿下理想offer!!我們歡迎任何形式的合作,發布招聘信息,請添加微信號:lgsdt188。
特別鳴謝摩爾精英的支持
覺得不錯,就點個在看喔
總結
以上是生活随笔為你收集整理的艾为数字ic面试题_每日学习:数字后端面试100问(2019全新版)的全部內容,希望文章能夠幫你解決所遇到的問題。
 
                            
                        - 上一篇: python网课期中答案_中国大学pyt
- 下一篇: 紫光展锐发布2022年度盘点:5G芯片完
